2020-05-15

20Q1.10B

FPGA オリジナルボード設計 I/O ピンの配置を決める「XDC ファイル」の書き方

前回はオリジナルのボードを作るときの I/O ピンの配置と、I/O バンクの決め方について解説しました。今回は I/O の配置を Vivado に指示する方法について解説します。 ピン配置は XDC ファイルに書く XDC ファイルの基本 ピン配置を Vivado に指示するには XDC ファイルを書きます。 XDC は Xilinx Design Constraint (ザイリンクス・デザイン・コンストレイント) の略です。Constrait というのは制約という意味...
タイトルとURLをコピーしました